-- VHDL netlist generated by SCUBA Diamond_2.2_Production (99) -- Module Version: 1.1 --C:\lscc\diamond\2.2_x64\ispfpga\bin\nt64\scuba.exe -w -n PCU -lang vhdl -synth synplify -bus_exp 7 -bb -arch xo2c00 -type power_cntl -entry USER -s2s BYPASS -wake USER -standby -bandgap_off -por_off -e -- Tue Aug 19 11:48:15 2014 library IEEE; use IEEE.std_logic_1164.all; -- synopsys translate_off library MACHXO2; use MACHXO2.components.all; -- synopsys translate_on entity PCU is port ( USERSTDBY: in std_logic; CLRFLAG: in std_logic; STDBY: out std_logic; SFLAG: out std_logic); end PCU; architecture Structure of PCU is -- internal signal declarations signal scuba_vlo: std_logic; -- local component declarations component VLO port (Z: out std_logic); end component; component PCNTR generic (STDBYOPT : in String; TIMEOUT : in String; WAKEUP : in String; POROFF : in String; BGOFF : in String); port (CLK: in std_logic; USERTIMEOUT: in std_logic; USERSTDBY: in std_logic; CLRFLAG: in std_logic; CFGWAKE: in std_logic; CFGSTDBY: in std_logic; STDBY: out std_logic; STOP: out std_logic; SFLAG: out std_logic); end component; attribute NGD_DRC_MASK : integer; attribute NGD_DRC_MASK of Structure : architecture is 1; begin -- component instantiation statements scuba_vlo_inst: VLO port map (Z=>scuba_vlo); PCNTR_Inst0: PCNTR generic map (BGOFF=> "TRUE", POROFF=> "TRUE", WAKEUP=> "USER", TIMEOUT=> "BYPASS", STDBYOPT=> "USER") port map (CLK=>scuba_vlo, USERTIMEOUT=>scuba_vlo, USERSTDBY=>USERSTDBY, CLRFLAG=>CLRFLAG, CFGWAKE=>scuba_vlo, CFGSTDBY=>scuba_vlo, STDBY=>STDBY, STOP=>open, SFLAG=>SFLAG); end Structure;